VHDL-AMS


כל מה שרצית לדעת על VHDL-AMS:
VHDL-AMS היא נגזרת של שפת תיאור חומרה VHDL.
היא כוללת תכנון אנלוגי Analog ותכנון משולב Mixed Signal המרכיבים ביחד את הסיומת AMS.
ה-VHDL-AMS נוצרה במטרה לאפשר למתכנני המעגלים המשולבים לתכנן ולשלב בלוקים אנלוגים ומעורבים בתהליך התכנון של מעגלים משולבים כדוגמת VLSI.
ההרחבה של השפה לתחום האנלוגי והמשולב היא צורך של התעשייה לאפשר תכנון, אימות ויישום גם של תכנונים אנלוגיים שעד אז היה צורך בכלים גרפיים ידניים כדי לתאר את התכנון.
השפה מאפשרת טיפול באותות אנלוגיים ואת מעורבות מערכות משולבות, שימוש במודולים ברמה גבוהה ותיאור התנהגותי של מערכות ורכיבים.
VHDL-AMS הוא תקן המוסכם על רוב התעשייה למידול של תכנון משולב Mixed Signal הוא מספק תחביר לתיאור מעגלים הרציפים בזמן ומעגלים המשתנים בשינויים (events) ולכן הוא מתאים לתכנון מעגלים אנלוגים, ספרתיים, ומעורב אנלוגי / דיגיטלי.
התקן מתאים במיוחד גם לאימות מערכות אנלוגייות מאוד מורכבות אנלוגי, מעורבות אות ו תדר רדיו במעגלים משולבים.

נלקח מויקיפדיה

הגדרות נוספות הקשורות לVHDL-AMS:
מיקרואלקטרוניקה
שפות תכנות